SüBe Ausgabe
>>201556790GLAAARER
>>201556816Amogunss in der Torte linksMehr nicht gelesen
>>201556826
Ich brauch mal einen anderen Platz für meine Klimmzugstange, der nicht den ganzen Tag von der Sonne verbruzzelt wird
>>201556781binsch 70kg dünni
>>201556856Geschäftsidee: Vorhänge zuziehen
>>201556790>nicht die gepozzte goth version
>>201556856pilotenbild
>>201556877Die steht draußen, weil meine Zimmerdecke zu niedrig ist
Heute mit 33j in den Spiegel geschaut und Trauer verspürt. Fett geworden und erste Falten. War mal uniron hübsch und die Jugend verfliegt hin wie Blätter im Herbstwind
>>201556943digga, i hensch falten seit ich 17 bin (vom vielen stirnrunzeln)
>>201556943Habe ein Bild von vor 2 Jahren gesehen und habe mich gefreut weil ich sah aus wie ein fetter Untermensch und jetzt nur noch wie ein Untermensch
hungern oder gym?
Ihr alle macht euch über öffis lustig aber ich fahre grad alleine im Dorfbus zu paper und mamerHab im Prinzip meinen Privatfahrer und kann nebenbei arbeitenAutolerries könnten NIE
>>201556943>>201556976>Froschbehindi Moment
>>201556943Nichts hindert dich abzuspecken
OH MEIN GLAAARER!!!
seit ihr eigentlich alle dämlich?
seid ihr konform irl?
Heute morgen habsch Kraftsport gemacht.Werde jeden Tag mächtiger
>>201557071eww, ein telefonpfostierer
>>201557010genetik...cico funkt bei den meisten nicht
Öhhmmmm Männerschwestis!?
> er studiert medizin> und hat viel sex> neue 19 jährige hapa freundinja und ?????wir könnten auch medizin studierenwir wollen halt nichtbürgi ist qingwie er halt einfach neidisch ist auf die brudis
>>201557129dieskönnte jede haben die ich willBürgi regelt
>>201557129Könnte Medizin studieren mit m1 Schnitt aber wü uniron nicht
>>201556988DschümmFraglich, ob die Oiden dich wollen, auch wenn du dünn bist, aber als Dschümmzell kannstndu sie wenigstens in Angst versetzen
Ummmm Mashiro???
>>201557160Sag ich jaALLE können Medizin studierenJEDER hat halt einfach ein 1.0 AbiturAber wir wollen halt nichtMädchen genausoWir wollen halt nichtDiese d Schwuchtel braucht sich nichts einbildenWie er neidisch auf uns ist
und ihr so
>>201557217>JEDER hat halt einfach ein 1.0 AbiturNein aber ich schon
>>201557103jabba hungrig?
Jetzt gibbet es Haferflocken mit Mülsch und Zimt
>>201557072Was redet der Knilch für einen Quatsch, regelmäßiger Sex, gutes Essen und billige Unterhaltung sind meine Ambitionen und Träume.
>>201557239Bezweifel ich doch etwas, um ehrlich zu seinEs wird auch viel geflunkert
>>201557239was ist aus dir geworden? Froschposter
>>201557274Eben. Meine Ziele sind mehr Komfort, z.B. 3-Tage Woche.
>>201557279Weil du (Narzisst und pathologischer Lügner) von dir auf andere schließt.Habsch aber tatsächlich sogar
>>201557234für immer ein niedlicher shota sein garantiert unbegrenzten Zugang zu seks mit rattenscharfen päderastinnen
>>201557201Huhu SloveniaDieser d Schwuchtel hat ne ein neues gf am Start> 19> Bildhübsch & blutjung> Total süß> HapaWas sagst du dazu?????Wo ist eigentlich dein gf so, Slovenia?
>>201557293Indschenjör irl natürlich
>>201557234Würde
>handlungszwirbler: d ist der mutterficker anon
>>201557336und froschpfostierer.. puh! dat müssen andere erst mal schaffne
>>201557217> JEDER hat halt einfach ein 1.0 Abiturich nichthatte in Deutsch immer nur so 3-5 Punktein Kunst und PolWi hab ich auch n paar mal nur 9 bis 11 Punkte reingedrückt bekommen
SS-Oberführer Oskar Dirlewanger is a pedophile and serial rapist
>>201557346zur bestätigung kannst du ja deine mutter fragen
>>201557363>isIch glaub der lebt nimmer
>>201557330Du liebst Halle?Klaust du BTM?Huiii du klaust?Bist du ein Lügner Klausi?Halle und du... Nachts ihr habt...genau oder
>>201557072*komfortabelJaMaslowsche Bedürfnispyramide kennste?
>>201557358Bin auf zweiteres sehr stolz
>>201557364Der basierte VPN-Schwede eilt von Sieg zu Sieg
>>201557093>die gesetze der thermodynamik gelten für die meisten nicht
>>201557364Du wurdest gestern gebannt für 19,99 Müllpfad VPN
>>201557384das kannst du auch sein
Hallo, bin jetzt wieder da
>>201557362Kopf hoch BrudiIch hab nur mittlere Reife
>>201557363und hatte 1 PhD
>>201557317Narzistisch bin ich aber gelogen hab ich noch nieWas ist denn gelogen deiner Ansicht nach?
>>201557380basierer d gott
Jemand gelesen? Sarrazin scheint interessante Bücher zu schreiben
>>201557372Whoops wrong timeline, sorry.
>>201557362selbigebin aufm normalen gym in der 11. sitzengeblieben wegen deutsch weil die deutschlehrerin meinte "es gibt keine falsche interpretation"und mir dann ne 5 reingedrückt hat weil "ja die ist falsch"davor aufm privatgym in der 10. sitzengeblieben wegen französischhabe dann fachabi gemacht war die beste entscheidung
>>201556943Kann nicht relatieren. Bin 34 und habe keine Falten und bin in exzellenter Verfassung.
>>201557440Schlopp
>>201557362Hatte immer 2-4.Dann Ausbildung 1.5Fachabitur nachgeholt mit 1.0Bachelor mit 1.7Master mit 1.25 (mit Auszeichnung)Stellt sich heraus ich war in der Schule nur ein faules Stück scheiße wegen ADHS und Desinteresse.OK war alles. Bist trotzdem ein guter Mensch.
>>201557407Du aber auch!
>>201557440>14 jahre alte bücher lesen
>>201557464ajo, dat binsch auch!
The Holocaust is what we love.
>>201557440Der wusste von anfang was jetzt mittlerweile abgeht uniron aber er durfte das nicht sagen
Hab kein 1.0er AbiHab die Schule verkackt aber jetzt bin ich selbstständig und mache jede Menge Kohle und bin zu einer glücklichen Ehe Nur doch egal was der Student meint womit er angeben muss, er muss erstmal lernen worauf es im Leben ankommt und das er kein Glück findet in Drogen und ständig wechselnden SexualpartnernAber ich schätze mal das ist der Berliner Einfluss
>>201557440Kommentier mal das neue tschi-eff von diesem d schizoScheint ja ziemlich gut zu laufen bei dem Schizo
>>201557466NEEE ich meinte sein neues Buch, fick mi ey
>>201557455Ich (>>201557462) habe erste Mal Abitur nach der Oberschule gefällt, weil ich in der 12. Eine 6 in sport hatte (der Basketballlehrer war ein Hurensohn und bin dann lieber Bier saufen anstatt dahin gegangen)
>>201557364>>201557486!!!
>>201557480Liebsch meine Froschbrudis über alles
>>201557462>wegen ADHS und Desinteresseselbige hier normales gymnasium war so absurd langweilig für michim fachabi hab ich richtig gute note geschrieben weil ich mir für das fach halt auch interessiert habe
>>201557418kommt ja immer druff an, was man willso im nachhinein wäre ich mit ausbildung wsl glücklicher gewordenkonnte wegen zu schlechtem NC nicht das studieren, was ich wollte und mache ezala erst mal was anderes - rede mir 1n, dass es da bestimmt 1 Regelung geben muss, dass, wenn man 1 Bätchelor hat so NC Werte nix meer zählen - kp, ob es sowas wirklich gibt, schaue das bewusst nicht nach, da das buchstäblich meine einzige motivation ist meinen jetzigen abzuschließen
>>201557485Buhmer was geht?! Heute schon den Bürgersteig gefegt?
>>201557486mit dem ladekabel wird sie ihm die eier abschnüren :)
Hast du zufällig ein IPhone Ladekabel?
>>201557481>we
>>201557501ich auch, brudi, ich auch! wir sind das beste an /deutsch/
>>201557440kekkann mich noch an 2015 erinnern, wo der mit buchstäblich hitler gleichgesetzt wurdeer. hatte. rechtaber k1n einziger linksgrüner hat das bis heute eingesehen
>>201557499>Eine 6 in sportStreng basiert ?
>>201557485Das ist nicht der Berliner Einfluss.Das ist der Einfluss der zugezogenen die Berlin nie verstanden haben, weswegen sich das alles gewandelt hat. Früher (tm) war Berlin nur eine abgefuckte Arbeiterstadt. Niemand scherte woher du kommst oder wer du bist. Jetzt ist's halt anders, aber säds laif
Pfoah! Riech ich jetzt intensiv nach Lavendel!
>>201557534Spaßfaktor: Mathe und Informatik hatte ich alle 4 Semester eine 1, weil lehrer cool und Interesse
>>201557515War schon da, die ist zuckersüss und nicht gefährlichGanz brav und süß und anhänglichShy und girlyPetiteHübsche kleine hapa MausEin TraumNächstes Treffen: HEUTE
>>201557497Der hat doch keine AhnungDeutschland war noch nie so gut wie zur Zeit!Den Weg den wir gehen ist spitze!Wir müssen nur unsere Feste absagen und den Almans Taschenmesser verbieten, dann gibt's auch keine Messertoten mehr
Make the unwelcome leave our internet.Forever.My peoples have standards.We aren’t tolerant.Victory to love.Victory to all joy.
Schreibe jetzt wieder mit meiner neuen GF auf ebay KleinanzeigenBis später ihr Looser
>>201557523Wir haben /deutsch/ aufgebaut!
>>201557586WAS LETZTE PREIS?!!
>>201557586Frag sie nach Iphone Ladekabel, das kommt immer gut
>>201557586Denke gerade an sie, bekomme sofort ein heftigen Ständer
>>201557503Dieses. Plus weil ich das auf dem zweiten Bildungsweg gemacht habe, waren (fast) alle da weil sie es benötigten und dann gab's eine competition wer die meisten Punkte bekommt. (Ich war leider nur drittbester:()
>>201557569Jimmy Blum Ochsenknecht?
Heute letzter Tag im Ausbildungsbetrieb. Werde mir meinen 4Kanalordner sichern für die Erinnerungen.
>>201557588ähm eigentlich waren das die Türk_innen
>>201557533Du darfst jetzt Sachen normal sagen die vor 2 Jahren noch als nadsisprech galten kök
>>201557588natürlich nur die guten teile davon ;)
>>201557622
>>201557555Dein Schreibstil ist dermaßen psychopatisch. Gruselig.
japuh
>>201557569> Vielfalt feiern> Abgestochen von einem ausländischen TäterEins muss man dem lassen: er hat Humor
>>201557617Kök hoffe du hast das nicht ernsthaft aufm arbeitspc abgespeichert>>201557611KIZ? Sind mir zu kindisch
Habe gestern in der Stadt einen 8 Jährigen (geschätzt) Talahon gesehen War giger lustig, komplett mit Umhängetasche hat er da Schattenboxen gemacht Musste im rl lachen
>>201557649Wieso?Wie soll ich denn schreiben?
>>201557678Finde es absurd, dass die Punkzeit, emozeit einfach abgelöst ist durch so absoluten ROTZ wie diesen Talerhuhn dreck
>>201557671Habe ich. Sind eh nur Frösche drin, heh
Weil ein gf mit dicken tätowierten Oberschenkeln :(
>>201557709Ajo frösche stehen unter artenschutz
>>201557662
>>201557642
>>201557630achso
>>201557684Weniger geisteskrank
KARBOONAAAAAARRRREEEEEEERRR
>>201557777EEEEEEETTTT UNA BEEEEEEPISS MAXX LEMOOOOOON
>>201557777
Bin mit der Realität unzufrieden
>>201557786ES IST KOKER KOLER, DU FODDSE!
>>201557814hast du vor eine Straftat zu begehen?
Lohn isch da
> schreib gerade mit dem hapa Qt> Die ist soooo süß> Treffen heute Abend> Wir werden uns hingebungsvoll küssenBin gerade im Krankenhaus und hab ein heftigen STÄNDER
>>201557826Höchstens nach dem BtMG
>>201557832
>>201557832Ajo, wollte auf 2500 runter aber hab bingekäufe getätigt, bin nun auf knapp 2200 aufm konto Fak Gehalt morgen da
>>201557861was hast du gekauft
>Einkauf erledigt>Noch minus 17€ im Budget>Das Budget: 9.87€/Tag (300€ pro Monat)Ist giga teuer alles, selbst wenn man Snacks vermeidet.
>>201557859Guter Beitrag
>>201557777E UNER KOKER KOLER(gesegneter Pfosten mir diesen Zahlen übrigens)
>>201557907Wie soll das denn gehen?Ich verbrauche 600eur im Monat nur für Essen, kaufe beim Discounter
>>201557940Bist du ein Fettsack irl?
>Ich verbrauche 600eur im Monat nur für EssenWie ist das irl überhaupt möglich
Ständerstatus: nach wie vor maximal hart
>>201557630
>>201557940Keine Luxusgüter wie Lachs oder Käse (bis auf die eine Ausnahme als Hommage an den Appenzeller-Dieb). Keine Snacks. Weniger saufen (im Schnitt 2 Perli am Tag = 40€ im Monat).Hatte im erfolgreichen redemption arc 2018/2019 etwa 300€ Verbrauch, ohne mich wirklich anzustrengen. Heute in Zeiten der Hyperinflation erwarte ich max 450, aber ich versuche erstmal 300.
>>201558010kill yourself
>>201557949Esse mehrere KG Gemüse am TagNüssenFisch
Schauts amol m1 Kadser
>>201558031YWNBAG
Gio uezs
>>201557936Löwe
>>201558044Burriter?
>>201558027Deutschland ist für Deutsch.Be hunted by Deutsch.Deutsch should find you and butcher you.
Viva PhilistinaZZ
>>201558144lmao musel
>>201558089Die heißt Luner
卐卍
>>201558008> Appenzeller-DiebJa, das war ich.Trotzdem zahl ich 600eur im Monat für Essen- 500gr Paprika oder 1KG pro Tag- jeden Tag 2 800gr Dosen Tomaten (bin verrückt danach)- manchmal 0.5-1kg kleine Cocktail Tomaten aus Marokko- 1KG Karotten- Jogurt, Frischkäse- manchmal Sardinien, Brathering- diverse Nüsse- eingelegte Tomaten- Sauerkraut - OlivenLocker 15-20 Eur pro Tag obwohl ich alles beim Discounter kaufe
>>201558089ajoooo
Canadaposten schlechten posten
>>201558162Yeaöööhhh die Luner aller
>>201558044Guter Beitrag
macht einen denkend
>>201558206Paprika sind 6€ pro kg hier. Einfach nur gaskrank. Frühstück geht nei (Traubensaftschorle 20%, 90g Haferflocken mit 200g Haselnussdrink).
>>201558287Not my problem
>>201558289Ja, hier so 5eur pro kg
>>201558289>Paprika sind 6€ pro kg hier.Sehr günstig. Die kosten hier das doppelte. Werde wahrscheinlich nach Österreich auswandern.
>>201558287Oh, die Journaille hat da aber lange im Müll gewühlt um einen Nichtsburger zu finden.
>>201558243Eben Eben Eben
>>2015583404€/kg uezs>>201558287dass die le konservative CDU damals die Homoehe durchgewunken hat wird jetzt ignoriert?
>>201558340>>201558381>bei netto einkaufenmjam mjam lecker pestizide von die chemiefabriken
>>201558356>um einen Nichtsburger zu findenbist du irgendwie duhm oder so? nur weil du afdfanboy bist sollte man trotzdem sehen, dass sowas doch kacke ist
>>201558008>Keine Luxusgüter wie Lachs oder KäseSchmackhaften Räucherlachs bekommst du für 2-3€ bei Spar oder Lidl
>>201558381Nur im Angebot.Bei Netto kostet eine 500gr Packung 2.29eur oder sowas
Vor zwei Jahren konnte man in Deutschland noch mit 1€/Mahlzeit durchkommen.https://www.youtube.com/watch?v=kFJtBbZxF04
>>201558400Das ist kein Lachs.
Statt sich hier zu beschweren könnte man sich ja zb ein Beispiel am Maschire nehmen und selber Paprika anbauen
benutze TK paprika zum kochen manchmal aber ist halt nicht das gleiche
>>201558400A... aber dafür ist in Slovenia auch die Miete teurer als in Wien :(
>>201558427und dann gulasch machne?
>>201558421ÜÜhhrrkkkkkss sieht aus wie aus der SBZ Erich Honecker Verusuchsküche
>>201558427Nicht wirklich praktikabel, wachsen nicht sehr gut in diesen BreitenBin schon am anbauen: Kartoffeln, Radieschen, Tomaten, Gurken, div. Kräuter
>>201558421kann man immer noch, wenn man bereit ist auf fleisch zu verzichten.
>>201558464Wir hatten ja nichts in der Dö dö er.
>>201558486fleisch ist GIGER schlecht fürs klimer
Dass ich in den letzten 20 Tagen teilweise so extrem müde bin ist wahrscheinlich ein prolongierter Rebounds, sagt Frau Doktor. Ist normal wenn man Medikinet mal absetzt und gut, um die Toleranz unten zu halten. Viele Grüße
>>201558484keine pastinaken?
>>201558462Stimmt, Mietpreise hier sind wirklich unnormal
>>201558544Bezahle 750€ warm für 60m2, 1 Zimmer (Berlin (also quasi Slowenien))
>>201558542Nein, hab begrenzt Platz in BerlinAber Lauchzwiebeln habe ich Und ein KohlrabiHabe ich aber nicht abgebautIm Supermarkt gekauft und dann in die Erde gesetztDer wird nicht gegessen, darf einfach so existieren bei mir
>>201558399Dumm bist du. Als ob du aus den absichtlich irreführenden Artikeln gegen die AfD irgendetwas ableiten könntest. Es wird nicht gesagt wie lange und warum sie Sozialleistungen bezogen hat und wielange und ob sie danach gearbeitet hat. Wird alles weggelassen.
>>201558573einfach lebenslang in Beugehaft gehen wegen 600€ GEZ Schulden.
>>201558544Bezahle 820€ warm für 56qm, 1 Zimmer, Berlin
>>201558506Das stimmt nicht so ganz!Rindfleisch und Fleisch von Wiederkäuern ist schlecht wegen Methan.Schweinefleisch und Hühnerfleisch kann relativ energieffizient hergestellt werden.Am besten für die Umwelt ist regionales Fleisch aus Massentierhaltung unironisch.
>>201558610Maximal 6 Monate sind erlaubt
>>201558573GEZ ist echt richtig schlimm. Die ziehen uns voll ab.Mit meinen Abos bei Netflix, Amazon und Disney plus bekomme ich viel mehr!!!
>>201558580find ich gut. gönn dir, brudi!
>>201558624d zahlt kein GEZ, hab die ausgetrickstSeit Jahren
>>201558585ist auch vollkommen egal, einmal bürgi, immer bürgi.Normale Menschen müssen in ihrem ganzen verfickten Leben nicht einen Tag von HIV leben.immer dieses peinliche gekohpe.
>>201558610Wie viel Minuten Beugehaft bekomme ich bei 40€ schulden? Sehe nicht ein die an Vodafone zu zahlen
>>201558652Wie den so?
>>201558652>ausgetrickst>t. Wohne bei Mami, die das für mich zahlt
>>20155865280% Behinderungsgrad?
>>2015586631. Privatinsolvent (befreit)2. Wohnung läuft auf seine Hapanutte (sie zahlt für ihn)d ist ein npc
>>201558289literarisch teurer als (billig)Fleischund das obwohl die Grünen in der Regierung sindwas da nur schiefgelaufen
>>201558706KÄÄÄK
>>201558611Heftig>>201558663Bin untergetauchtBehörden kennen meine Addresse nichtUnd ich sag sie ihnen auch nichtMan darf sich nach dem Umzug nicht ummeldenDann wird man nach paar Monaten automatisch abgemeldetGilt dann offiziell als ofWOhne festen WohnsitzIch hab aber einen WohnsitzSag der Regierung aber nicht woHehehe
>>201558652behindert
>>201558484>div. Kräuter
>>201558706>>201558688Hier nachzulesen>>201558733>>201558710Stimmt nicht, süßer Stinker
>>201558751was da qräuternudeln mit gemacht werden qönnten
>>201558575>>201558611Hab mal edsala reingeschaut für Ljubljana bei wenigstens 40m2 (mit und ohne Zentrum). Ab 600 kalt
>>201558733>HeftigFinde das meger günstig. Ist gerade mal die Hälfte von dem was ich in Straubing bezahlt habe.
>>201558733irgendwer wird trotzdem für deine Butze GEZ zahlen. Ob das jetzt du oder deine hapanutte ist, ist komplett egal.
>>201558746Idealrechter Chad d zahlt einfach kein GEZAus Prinzip nicht
>>201558663Wohnung nicht angemeldet beim Meldeamt
>>201558777Eben eben eben
>>201558792Nein zahlt keinerHab gerade beschrieben wie das funktioniert
>>201558783>was deine eltern für deine wohnung in straubing bezahlt habenJa figg di.
>>201558783Ist halt trotzdem viel
>>201558782kök.Bezahlt 2300€ Pacht im Jahr für 1000m2 Grundstück mit Haushälfte (bereits abbezahlt vor 32 Jahren) (Berlin)PS: Muss nochmal zum Notar, weil das Land Berlin sich um 7cent verrechnet hat, bei erbauzins erhöhung, Kök!!!
>>201558861Für Unterschichtler wie dich vielleicht.
>>201558827jo steht so auch auf Schizoseiten, funktioniert aber nichtLügender NPC
>>201558888Bin Medizinstudent, Eltern zahlen allesHehehe
Sie haben gezahlt91,05 EURVerbleibend428,00 EUR
>>201558792>>201558893>irgendwer wird trotzdem für deine Butze GEZ zahlennein, warum?Ist nicht mehr so wie früher wo die GEZ Denunzianten in Wohnungen einbrechen um zu schauen wo Fernseher stehendie GEZ kriegt die Daten wer wo wohnt vom Meldeamt. Wenn du dort nicht gemeldet bist, weiß auch die GEZ nicht dass deine Wohnung existiert, und kann dir folglich keine Forderungen stellen.
>>201558909>Sie haben gezahltwenigstens kein denkmal gebaut
>>201558924Und welche Wohnanschrift steht auf deinem Perso?
>>201558893warum funktioniert das denn so nicht?komm mal vorbei und versuch einzutreiben K Ö K
>>201558924>in Wohnungen einbrechen um zu schauen wo Fernseher stehenJa, weil sie das einfach erweitert haben? Mensch, Alfred. Nicht immer so schlecht gelaunt sein
>>201558893Funktioniert natürlichDu VerliererIch GewinnerSogar beim GEZ bezahlen gewinne ichUnd du bist ein wütender VerliererNoch nicht mal mit Anleitung schaffst du es Tja, kann nicht jeder Arzt werden>>201558924100% richtig
>>>201558924>sei flüchtling>sei nicht gemeldetSystem ausgedribbelt heh.
>>201558930PayPal-Guthaben0,00 €VerfügbarGeld einzahlen oder abbuchen
>>201558924die GEZ gibt es seit über 10 Jahren nicht mehr.Hol dir deine News mal von Schizoseiten, die tagesaktuell sind.wahrscheinlich zahlen das einfach deine Eltern, die im Mietvertrag stehen, was du mit deinen 70k Schulden nicht könntest.
>>201558983>er spendet keinen Euro an wohltätige Zwecke
>>201558930guten tag guten tag ich will mein leben zurück irl
>>201558975no du lügst einfachNPC d
>>201558945Da steht "Berlin" Mit der PLZ des Bürgeramtes in der FriedrichstraßeHab aber natürlich ne alte MeldebescheinigungDa wohne ich halt nichtGEZ kann mir nichts
>>201558986>die GEZ gibt es seit über 10 Jahren nicht mehr.>Natürlich müssen alle Leute die Propagandabezeichnung benützen, die sich die GEZ ausgedacht hat.Nein.
>>201559028Zahlst halt fröhlich weiter GEZ für die alte Butze du Lappen
>>201558986Heißt jetzt anders, ist immernoch die GEZ
Ist schon normal, dass man auf Tinder mit Gratis-Account keine Leiks bekommt, oder?Nur von fakes aus China haltPS: Ja, bin verhältnismäßig hässlich und habe keine "schönen" Lichtbilder. Vielleicht sollte ich mein Bewerbungsfoto nehmen
Kleine Erinnerung, was für abscheuliche Verbrechen der Höcke begehen würde, wenn er könnte:https://youtu.be/fqAa_xPwyCk?t=4
geht ihr ins ohr bleibt im kopf irl
Nachdem die Investitionsexplosion ausgeblieben und Verkauf ungut ist, obwohl der Angriff Nvidia ein BEFEHL war, wat nou?>Kreditlinie aktivieren>ALG1 bux beantragen (Demütigungsritual)>zurück ins Elternhaus (Demütigungsritual)>Bewerbung schreiben (Demütigungsritual)
>>201559025> Wütender Beta ist wütendHey, works for meZahl brav dein GEZd ist ungeimpft und unbebrochenKein Cent an die GEZ
>>201559074Thüringer hier, werdsch den nicht wählen.
>>201559088Habe 300 in Solana investiert und dann ist runter gegangen. Waren die da oben
>>201559074Politiker sind ja bekannt dafür, Wahlversprechen zu halten
welche Partei will zwangsgebühr abschaffen?
>>201559097Gut so. Wer soll sonst die Pensionen des MDR bezahlen? Das geht ja mal gar nicht.
>>201559044Nein?Wohin sollen sie den Brief den schicken?Die kennen meine Addresse nichtBrief geht zurückUnd den können sie sich brav in den Arsch schieben
>>201559116>>201559111
>>201559092als ob die dich ungeimpft im Krankenhaus hätten rumläufen lassen während der Plandemie.LülülülülüEchte Betas denken sich solche Räuberpistolen aus hahahaha
>>201559065Ja, ist normal. Fickdehtapps funktionieren halt hauptsächlich übers Aussehen, wenn man da nicht ganz oben mitspielt muss man eben viel Geduld haben.
>>201559092>d ist dummajo
>>201559116>>201559074
>>201558986Nope, ist alles wahr.stimmt natürlich dass sich die GEZ offiziell nicht mehr GEZ nennt aber wen juckts.So ungern ich d Recht gebe, hier stimmt was er sagt.Nicht gemeldet - keine Möglichkeit für GEZ an dein Geld zu kommenSo einfach ist das.
>>201559121Die Wessis?In Thüringen leben 2 Millionen Menschen lmao.
>>201559088Kauf dir ein RennradAbspeckenNeuer JobWeniger trinkenWirst befördertWeil du so dynamisch wirkstDann klappt es auch mit der DamenweltETFler living on the fast lane
SEEEEEXICH WILL SEEEEEXICH WERDE MICH SELBST IN DEN SEX VERWANDELNICH SEIE ZUM SEX GEWORDENICH WERDE DAS AUF JEDEN FALL TUNICH BIN SÄX
>>201559133Ach du kleiner NPC, denk mal scharf nachWie genau das gehen könnte
>>201559116jucktdie politik kann noch nicht mal die öffentlliche ordnung aufrechterhaltenglaubst du die kehren um 3€ für irgendwelche propagandabonzen?
>>201559031!
>>201558946>>201559214Dieses. Kenne keinen einzigen Ausländer der GEZ bezahlt.
>>201559201
>>201559243Fragst du jeden Ausländer dem du begegnest ob er GEZ zahlt? Ich weiß von niemandem ob der GEZ bezahlt und trotzdem werden sie es wahrscheinlich tun
>>201559206hm ja du studierst gar nicht medizin und hast einfach wie jeder Ossi im Faden zuviel Freizeit & Fantasy.Wie du schon sagtestKann ja nicht jeder Arzt werden kök.
Faden-Status: besiegtBetas: rotieren, zahlen GEZd triumphiert, zahlt kein GEZUngeimpft und unbebrochen
>>201559266Als Bürgi-Adel muss man doch keine GEZ bezahlen, wo denkst du hin.
Türken haben kein Problem mit Rundfunk Zahlen, denn sie arbeiten hart und kriegen Kindergeld für 6 Kleine Goldstücke
Hm... auf /trash/ ist irgendein Typ unterwegs der jeden eine Transe nennt und anti-goon Sachen postet.>>>/trash/68680195>>>/trash/68680136>>>/trash/68680118
>ja klar ganz bestimmt wird ahmet kalifattsson pünktlich seinen propagandabeitrag in der moschee entrichten
>>201559268Sitze gerade im Krankenhaus"Arbeiten"Ich sitze da und bekomme GeldUnd nebenbei kann ich euch terrorisierenEs ist sowas von vorbei für euch
>>201559312oh nein, nicht in deinem fapping together faden! (10 Uhr morgens, 3 Uhr Osttküstenzeit)
>>201559312Not my problem>>201559159Das ist zutreffend
heute wieder 30ºCwie soll ein froschpfostierer sowas nur aushalten?
>>201559325Foto mit Zeitstempel, kennst den Drill.
>>201559374
>>201559325Zeig Titten und Muschi mit Zeitstempel.
>>201559377Mach ich gleich, nur um euch zu ärgern
>>201559374Hier brennt die unermüdliche Balkan-Sonne die ganze Woche hernieder.
>>201559312keine chance dass man auf trash posted und keine schwuchtel ist
>>201559407bis morgen dann Versagerle.
>>201559344Guhnen ist halt harte Arbeit
>>201559008Ich gebe zu ich war am Anfang entzückt aber euer Leben zwickt und drückt nur dann nicht wenn man sich bückt irl
>>201559418Ja puh. Aber ihr Südländer seid ja auch genetisch veranlagt solche Temperaturen zu überstehen
wypipo können nichtmal 30 grad aushalten
>>201559391Kommt
>>201559445Bin leider Yakubs-Krönung, wie der Dresden-NEET sagt, aus Deutschland.
>>201559374mit viel eis essne
komm immer noch nicht darüber hinweg dass der schweden anon seine mutter gefickt hat
>>201559344ist nicht der fapping together Faden in dem Fall. Gehe auch in die anderen Fäden wenn die gute Bilder posten.>>201559420bin nicht schwul, habe bloß einen Phallus Fetisch.
>>201559312Das darf nicht sein>>201559444werfen in deinem blut die endorphine blasen irl
>>201559521ist es wahr, dass er nur von tuxsperma lebt?
>>201559439Habe heute frei.
>bin nicht schwul, habe bloß einen Phallus Fetisch.
>>201559532>bin nicht schwul, habe bloß einen Phallus Fetisch.https://youtu.be/Zd8vzIRQLLM
>>201559431>>201559391>>201559377Gewonnen
>>201559572bist du nicht 168 cm ?
>Sicherheitsdienst? Da sitzt schon wieder dieser Typ mit blauer Hose im Gang und kichert wie ein Depp
>>201559325Fühle mich nicht wirklich von dir terrorisiert
>>201559569macht keinen Sinn für mich.>>201559538>Das darf nicht seinfinde ein paar seiner Beleidigungen lustig um ehrlich zu sein. Richtig kreativ.
Anime dood wat nou?https://x.com/aninewsandfacts/status/1828370987580104747
>>201559612Hab se nicht gelesenJetzt musst du dir wohl woanders jemanden für ERP suchen. Nur wo...?
>>201559572Du bist seit 6 uhr morgens im faden, meine Fresse nimm deine Medis
>>201559644Süßer Stinker
>>201559572wo ist das Krankenhaus?
>trash poster mit penis fetischOke ich diagnostiziere dich mit agp sissy bnwo furry tierficker transe wahrscheinlich stehst du auch noch auf unteralter zudem
>>201559612>macht keinen Sinn für mich.Sagst du auch immer "NO HOMO" wenn du dir wieder Schwänze anschaust?
>>201559643ignoriere den Typen einfach, habe heute morgen schon ERP gemacht mit Anons.
>>201559538Schau, the end is near, now bitte face your final curtain irl
>>201559660Faker
>>201559643>Nur wo...?
>>201559661In Berlin
>>201559664dass er auf bnwo steht ist hinlänglich belegt.
Wollte eigentlich gemütlich eine kleine Pause vom Radfahren machen, aber hier sind lauter Pferdebwämsen!Nächste Bank suchen
>>201559677frage mich jeden abend wann nur verliebt sich wer in mich irl
>>201559678Was soll an dem Foto den Fake sein?Hab einfach gewonnenIhr seid fertig
>>201559684Wer könnte wohl hinter diesem Pfosten steqqen
>>201559685nein wo im Bild.Komm schon Dein einziger Beweis, dass du im Krankenhaus bist.Mit Zeitstempel.
>>201559719Wohne auch in Berlin. ist wirklich so. Spandau ist aber besser
>>201559668Für gucken brauche ich kein "no homo" sagen, ich sage nur dann "no homo" wenn ich Hand anlege.
Wieso gibts es von Klarer eig nie Stories, wenn sie eine Prüfung bestanden hat??!
stell dir vor du bist so narzisstisch, dass du dich bockig wie ein kleinkind in den wartebereich eines krankenhauses setzt nur um ein bild vom fußboden zu machen qöq
die gf
>>201559746Passiert das den öfters irl
Lecker Bierchen ist kalt. Fladenbrot-Sandwich mit Hummus eingeplant. 1500kcal, 33g Protein. Lässt noch genug Budget für heute Abend was Richtiges (Linsen mit Zucchini).Oge wa alles.
>>201559705Ich sehe was du denkst, ich denke was du fühlst irl
Denke werde so ähnlich meine CPU aufbauen.Statt AHB werde ich aber Tilelink nehmen. Habe das aktuell nur mit einem eigens zusammengelöteten Bus gemacht, weil ich Angst hatte, dass mich das Load und Store dann 2 Taktzyklen kostet. Aber sollte erstmal egal sein.
>>201559746wie oft passiert das denn?
>>201559745komm schon d, nur ein zeitstempel, dass du dich gerade wirklich in einem Krankenhaus aufhältst.7 Minuten für nen Zeitstempel und Blaumann anziehen ist kein Beweis.Zeig das Krankenhaus.
>>201559797Was zum Fick, bist du ins zweite Semester gekommen, Tuxler?
>>201559791>>201559804Mach bloß Witze.
>>201559814Leider nein, leider bereits im Arbeitsleben :(Wünschste könnte nochmal studieren
>>201559814er hat die Prüfungen bestanden, ETFettler.
>>201559716
>>201559819also du sagst eigentlich kein no homo, wenn du hand anlegst, verstanden.
>>201559819Tragisch>>201559832Die Muhkuh
>>201559871Inzestuöse yuri zwischen der Yui und der Ui. Ich wäre dafür schon gebannt worden.
>>201559849>>201559871Genau, genau, genau.
>>201559871
>>201559898das würde aber bedeuten, dass du schwul bist. (bild nicht verwandt)
>auf einmal 5 transen am rumschwuchteln
>nazi>stockschwuler bottomGeschichte wiederholt sich
>>201559953Ist mir unironisch lieber als wenn hier wieder die ganzen Salafisten gegen Israel hetzen.
>>201559953bin bloß top irl
>>201559986
>>201559909
>>201559825>>201559814Musste die Tage erst wieder lernen, dass software-trottel Register-Transfer-Logik nicht verstehen und nicht verstehen, dass das letzte if das inkrementieren der pxl_addr um einen Taktzyklus verzögert. Ist eigentlich offensichtlich, sollte man meinen[code] process(clk) variable tmp_din : std_logic_vector(15 downto 0); variable tmp_addr : std_logic_vector(31 downto 0); begin if rising_edge(clk) then mat_we <= "0000"; mat_en <= '0'; mat_addr <= (others => '0'); mat_din <= (others => '0'); addr_inc <= '0'; if addr_inc = '1' then pxl_addr <= pxl_addr + 4; end if; if nCS = '1' then pxl_addr <= (others => '0'); curr_state <= ST_ADDR_HIGH; else tmp_din := (others => '0'); tmp_addr := (others => '0'); if dout_valid = '1' then tmp_din(2 downto 0) := dout(2 downto 0); tmp_din(10 downto 8) := dout(6 downto 4); mat_we <= "0011"; mat_en <= '1'; mat_addr <= x"0000" & std_logic_vector(pxl_addr); mat_din <= x"0000" & tmp_din; addr_inc <= '1'; end if; end if; end if; end process;[/code]
>>201559941Bin nicht schwul, habe bloß Phallus Fetisch. Ganz andere Motivation dahinter.
>>201560005kek, g speichered>>201560013
>>201560052
>>201560049oge, erstmal heterosexuelles penislutschtreffen in cafe-west dann?
>>201560097was haben die denn mit der Renge so vor
>>201560049Auch menschliche?
>>201560145
BRUDER HENSCHOB BRUDER HENSCHOBBINSCHST DU NOCH??
Wo neu
Gestern war der Aljoscha wieder da
>>201560175Minuten später:
>>201560189Sind erst seite 7/15. Warum neu??
>>201560214>>201560218
>>201560278>>201560278>>201560278>>201560278
>AnimebildchenJeglicher Pfosten disregardiert auf uniron
>>201560265come and take it